Нахождение теста для режима максимального энергопотребления комбинационной схемы

Предложены формализация задачи и алгоритм нахождения тестовых векторов, обеспечивающих режим максимального энергопотребления комбинационной логической схемы, синтезированной в базисе проектирования заказной КМОП СБИС....

Повний опис

Збережено в:
Бібліографічні деталі
Дата:2010
Автор: Бибило, П.Н.
Формат: Стаття
Мова:Russian
Опубліковано: Міжнародний науково-навчальний центр інформаційних технологій і систем НАН та МОН України 2010
Назва видання:Управляющие системы и машины
Теми:
Онлайн доступ:http://dspace.nbuv.gov.ua/handle/123456789/82869
Теги: Додати тег
Немає тегів, Будьте першим, хто поставить тег для цього запису!
Назва журналу:Digital Library of Periodicals of National Academy of Sciences of Ukraine
Цитувати:Нахождение теста для режима максимального энергопотребления комбинационной схемы / П.Н. Бибило // Управляющие системы и машины. — 2010. — № 5. — С. 39-45. — Бібліогр.: 6 назв. — рос.

Репозитарії

Digital Library of Periodicals of National Academy of Sciences of Ukraine
id irk-123456789-82869
record_format dspace
spelling irk-123456789-828692015-06-11T03:02:01Z Нахождение теста для режима максимального энергопотребления комбинационной схемы Бибило, П.Н. Технические средства информатики Предложены формализация задачи и алгоритм нахождения тестовых векторов, обеспечивающих режим максимального энергопотребления комбинационной логической схемы, синтезированной в базисе проектирования заказной КМОП СБИС. A formalization of the problem and an algorithm of finding the test vectors that allow to find the mode of the maximal power consumption in a combinational logic circuit synthesized in the custom CMOS VLSI design basis are suggested. Запропоновано формалізацію задачі та алгоритм знаходження тестових векторів, які забезпечують режим максимального енергоспоживання комбінаційної логічної схеми, синтезованої у базисі проектування заказної КМОП НВІС. 2010 Article Нахождение теста для режима максимального энергопотребления комбинационной схемы / П.Н. Бибило // Управляющие системы и машины. — 2010. — № 5. — С. 39-45. — Бібліогр.: 6 назв. — рос. 0130-5395 http://dspace.nbuv.gov.ua/handle/123456789/82869 621.382 ru Управляющие системы и машины Міжнародний науково-навчальний центр інформаційних технологій і систем НАН та МОН України
institution Digital Library of Periodicals of National Academy of Sciences of Ukraine
collection DSpace DC
language Russian
topic Технические средства информатики
Технические средства информатики
spellingShingle Технические средства информатики
Технические средства информатики
Бибило, П.Н.
Нахождение теста для режима максимального энергопотребления комбинационной схемы
Управляющие системы и машины
description Предложены формализация задачи и алгоритм нахождения тестовых векторов, обеспечивающих режим максимального энергопотребления комбинационной логической схемы, синтезированной в базисе проектирования заказной КМОП СБИС.
format Article
author Бибило, П.Н.
author_facet Бибило, П.Н.
author_sort Бибило, П.Н.
title Нахождение теста для режима максимального энергопотребления комбинационной схемы
title_short Нахождение теста для режима максимального энергопотребления комбинационной схемы
title_full Нахождение теста для режима максимального энергопотребления комбинационной схемы
title_fullStr Нахождение теста для режима максимального энергопотребления комбинационной схемы
title_full_unstemmed Нахождение теста для режима максимального энергопотребления комбинационной схемы
title_sort нахождение теста для режима максимального энергопотребления комбинационной схемы
publisher Міжнародний науково-навчальний центр інформаційних технологій і систем НАН та МОН України
publishDate 2010
topic_facet Технические средства информатики
url http://dspace.nbuv.gov.ua/handle/123456789/82869
citation_txt Нахождение теста для режима максимального энергопотребления комбинационной схемы / П.Н. Бибило // Управляющие системы и машины. — 2010. — № 5. — С. 39-45. — Бібліогр.: 6 назв. — рос.
series Управляющие системы и машины
work_keys_str_mv AT bibilopn nahoždenietestadlârežimamaksimalʹnogoénergopotrebleniâkombinacionnojshemy
first_indexed 2025-07-06T09:32:50Z
last_indexed 2025-07-06T09:32:50Z
_version_ 1836889534493622272
fulltext УСиМ, 2010, № 5 39 УДК 621.382 П.Н. Бибило Нахождение теста для режима максимального энергопотребления комбинационной схемы Предложены формализация задачи и алгоритм нахождения тестовых векторов, обеспечивающих режим максимального энер- гопотребления комбинационной логической схемы, синтезированной в базисе проектирования заказной КМОП СБИС. A formalization of the problem and an algorithm of finding the test vectors that allow to find the mode of the maximal power consump- tion in a combinational logic circuit synthesized in the custom CMOS VLSI design basis are suggested. Запропоновано формалізацію задачі та алгоритм знаходження тестових векторів, які забезпечують режим максимального ене- ргоспоживання комбінаційної логічної схеми, синтезованої у базисі проектування заказної КМОП НВІС. Введение. Оценка энергопотребления – важ- ная задача на стадии синтеза проекта цифровой сверхбольшой интегральной схемы (СБИС). В работах [1, 2] показано, что основная доля энер- гопотребления КМОП-схем приходится на пе- реключения транзисторов. Для комбинацион- ных логических блоков, входящих в состав за- казной СБИС и представляющих собой логи- ческие схемы из КМОП-элементов библиотеки проектирования СБИС, в данной статье пред- ложено оценивать энергопотребление проекта логической схемы на основе подсчета числа переключений транзисторов, из которых со- стоят логические элементы схемы. Каждому такту функционирования логической схемы со- ответствует упорядоченная пара <предыдущий набор i, текущий набор j> входных сигналов, а каждой такой упорядоченной паре соответ- ствует число Si,j переключающихся транзисто- ров в схеме. Поскольку подсчет числа пере- ключений транзисторов может быть выполнен достаточно быстро с помощью логического мо- делирования, оперирующего с VHDL-моделями [3, 4] логических элементов и схемы в целом, то для каждого такта моделирования можно получить соответствующее число переключе- ний транзисторов. Для схем с ограниченным чи- слом n  15 входов можно провести логическое моделирование на всех упорядоченных парах входных наборов и выбрать последователь- ность из k наборов (тест T), характеризующих- ся наибольшим числом переключений транзи- сторов, и, следовательно, наибольшим энер- гопотреблением. Заметим, что число всех пар входных наборов для комбинационной схемы, имеющей n входов, равно 2n(2n – 1). Найдя тест T, можно использовать его для трудоемкого схемотехнического моделирования, которое и позволяет сделать более точную оценку энер- гопотребления. В данной статье предложен способ состав- ления VHDL-моделей логических элементов (и схемы в целом) для подсчета числа переключе- ний транзисторов логической схемы. Предлага- ется также формализация задачи формирова- ния теста T, состоящего из заданного числа k входных наборов и обеспечивающего режим максимального потребления тока схемой. Ис- комый тест T строится путем анализа всех пар входных наборов и соответствующих им чисел переключений транзисторов в предположении, что максимальному числу переключений (в за- данном такте) соответствует максимальное по- требление тока. Если же паре входных наборов поставлено в соответствие значение потребля- емого тока, то найденный тест обеспечит не приближенное, а реальное (с точки зрения схе- мотехнического моделирования) потребление тока. Величина значения потребляемого тока оп- ределяет минимальную ширину проводников в сетях питания и заземления СБИС, «правиль- ная» ширина таких проводников важна для пре- дотвращения эффектов электромиграции, при- водящих к разрыву проводников и сбоям функ- ционирования СБИС [2, с. 558]. Подсчет числа переключений транзисто- ров Рассмотрим логическую схему circ (рис. 1), на примере которой будем подсчитывать число переключений транзисторов. В схеме использу- 40 УСиМ, 2010, № 5 ются элементы следующих типов: А2, А3 – двух- входовый и трехвходовый элемент И соответ- ственно; О2 – двухвходовый элемент ИЛИ; N – инвертор; XOR2 – «сумма по модулю 2». Суть предлагаемого подхода для подсчета числа пе- реключающихся транзисторов заключается в преобразовании VHDL-моделей элементов и преобразовании схемы (см. рис. 1) в схему, изо- браженную на рис. 2. При составлении VHDL- моделей логических элементов полагается, что все элементы имеют одинаковую задержку, в данном примере выбранную равной 1 ns. Каж- дый элемент схемы (см. рис. 2), дополняется средством (VHDL-процессом) для подсчета чис- ла переключившихся транзисторов в этом эле- менте. Для этого VHDL-модель элемента снаб- жается дополнительным выходом z, значение которого задает число переключившихся тран- зисторов в данном сеансе моделирования. При этом учитывается схемотехника (иерархия на уровне VHDL-описаний) элементов, например, элемент А2 представляет собой каскадное со- единение логического элемента NA, реали- зующего функцию И–НЕ, и инвертора N. При- мер модели иерархически описанного элемен- та А2 и базового элемента NA приведен в лист. 1. x3 A2 XOR2 O2 O2 f1 dd4 dd6 dd8 dd10 N f3 w7w2 w6 A2 dd2 dd7 A2 w1 dd1 w4 f2N dd9 w3 N dd5 w5 x2 x1 A3 dd3 Рис. 1. Логическая схема circ Л и с т и н г 1. VHDL-модели логических эле- ментов А2, NA library IEEE; use IEEE.STD_LOGIC_1164.all; entity A2 is port (A:IN std_logic; B:IN std_logic; Y:OUT std_logic; Z : out integer); end; architecture str of A2 is component NA port (A:IN std_logic; B:IN std_logic; Y:OUT std_logic; Z : out integer); end component; component N port (A:IN std_logic; Y:OUT std_logic; Z : out integer); end component; signal W : std_logic; signal k1,k2 : integer; type MAS1 is array (1 to 2) of integer; signal V : MAS1; function sum(DATA: in MAS1) return inte- ger is variable S : integer:= 0; begin for I in DATA'range loop S:= DATA(I) + S; end loop; return S; end sum; begin p0: NA port map (A,B,W,k1); p1: N port map (W,Y,k2); V <= (k1,k2); p2 : process (V) begin Z <= sum(V); end process; end; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity NA is port (A:IN std_logic; B:IN std_logic; Y:OUT std_logic; Z : out integer); end; architecture BEHAVIOR of NA is begin Y <= not (A and B) after 1ns; p1: process (A, B) variable z1 : integer :=0; begin if ((A xor A'delayed (1 ns)) = '1') then Z1:= Z1+2; end if; if ((B xor B'delayed (1 ns)) = '1') then Z1:= Z1+2; end if; Z <= z1; end process; end; УСиМ, 2010, № 5 41 В VHDL-модели элемента NA полагается, что изменение значения (0 на 1, 1 на 0) вход- ного сигнала влечет переключение двух тран- зисторов, а с помощью атрибута A’delayed (1 ns) вычисляется значение, которое имел сигнал A одну наносекунду назад относительно текуще- го времени моделирования. Заметим, что под- счет числа переключений осуществляется с по- мощью функции sum, написанной для логичес- кого элемента с многими входами, для двух- входовых элементов можно обойтись более про- стыми средствами. VHDL-модель схемы в целом дополняется процессом (см. рис. 2), осуществляющим сум- мирование переключений транзисторов по всем элементам схемы. Такое суммирование вы- полняет функция sum_percl, по сути та же функция sum. Пример описания схемы circ для подсчета переключений триггеров приве- ден в лист. 2. Жирным шрифтом выделены операторы, ответственные за подсчет перекю- чений триггеров. В этом же листинге приво- дится пакет perecl, содержащий декларации компонент и функцию sum_percl. process(z) x3 A2 XOR2 O2 O2 f1 dd4 dd6 dd8 dd10 N f3 w7w2 w6 A2 dd2 dd7 A2 w1 dd1 w4 f2N dd9 w3 N dd5 w5 x2 x1 A3 dd3 z(3) z(5) z(1)z(7)z(2) z(6)z(8) z(4) z(9)z(10) К Рис. 2. Преобразованная логическая схема circ Л и с т и н г 2. VHDL-описание схемы circ для подсчета числа переключений транзисто- ров library ieee; use ieee.std_logic_1164.all; use work.perecl.all; entity circ is port(x1, x2, x3 : in std_logic; F1, F2, F3 :out std_logic; K : out integer); end; architecture circ_arch of circ is signal W : std_logic_vector (1 to 8); signal Z : MAS; begin dd1 : A2 port map (w(5),x2,w(1), Z(1)); dd2 : A2 port map (x3,x1,w(2), Z(2)); dd3 : A3 port map (x1,x3,x2,w(3), Z(3)); dd4 : O2 port map (w(7),w(2),w(4), Z(4)); dd5 : N port map (w(3), w(5), Z(5)); dd6 : O2 port map (w(1),w(7),F1, Z(6)); dd7 : A2 port map (x2,x3,w(7), Z(7)); dd8 : XOR2 port map (w(7),w(2),w(8), Z(8)); dd9 : N port map (w(4), F2, Z(9)); dd10 : N port map (w(8), F3, Z(10)); p1 : process (Z) begin K <= sum_percl(z); end process; end; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; package perecl is constant S : integer := 10; type MAS is array (1 to S) of integer; component A2 is port (A:IN std_logic; B:IN std_logic; Y:OUT std_logic; Z : out integer); end component; ... -- декларации компонент A3,O2,N,XOR2 function sum_percl (DATA: in MAS) return integer; package body perecl is function sum_percl (DATA: in MAS) return integer is variable S : integer:= 0; begin for I in DATA'range loop S:= DATA(I) + S; end loop; return S; end sum_percl; end perecl ; Результат логического моделирования схе- мы на упорядоченной последовательности 57 на- 42 УСиМ, 2010, № 5 боров входных сигналов представлен в табли- це, где заданы числа Si,j переключающихся транзисторов для каждой пары <i, j> сменяе- мых наборов значений входных сигналов. Но- мер пары Входные наборы Вес Si,j Но- мер пары Вход- ные наборы Вес Si,j Номер пары Вход- ные наборы Вес Si,j 7 1 3 1 26 21 22 41 30 5 6 1 2 34 22 16 42 30 7 0 3 3 58 23 16 43 36 4 6 0 4 50 24 36 44 36 7 5 3 5 34 25 32 45 24 3 3 2 6 26 26 32 46 12 7 5 0 7 62 27 40 47 12 2 2 2 8 42 28 40 48 18 7 5 1 9 56 29 22 49 6 1 1 0 10 48 30 22 50 6 7 5 1 11 62 31 28 51 18 0 0 2 12 54 32 28 52 24 7 5 3 13 58 33 24 53 40 6 4 4 14 12 34 16 54 24 4 2 5 15 12 35 16 55 36 6 4 6 16 28 36 10 56 38 3 1 7 17 28 37 10 6 4 18 4 38 4 2 0 19 4 39 4 6 4 20 22 40 40 1 3 Формализация задачи нахождения теста Пусть задана комбинационная схема R, со- стоящая из логических КМОП-элементов и име- ющая n входов x1, x2, xn. Булево пространство xV над переменными вектора x = ( 1x , 2x ,…, nx ) содержит 2n двоичных наборов. Каждому дво- ичному набору * x ix V поставим в соответствие число i, равное десятичному эквиваленту этого набора. Если при моделировании схемы R вход- ной набор i сменяется входным набором j, то упорядоченной паре <i, j> соответствует число Si,j переключений транзисторов (вес). Сфор- мулируем формальную постановку задачи на- хождения теста T, обеспечивающего макси- мальное суммарное число переключений тран- зисторов. Пусть задано множество V чисел: V = {0, 1, 2, …, 2n – 1}. Рассмотрим множество L всех 2n(2n – 1) упорядоченных пар <i, j>, составлен- ных из элементов множества V. Каждой паре <i,j> соответствует неотрицательное целое число Si,j – вес пары <i,j>. Каждой упорядо- ченной последовательности P P = 1 2 3 4 2 1, , , ,... , ,k k ki i i i i i i   (1) элементов (не обязательно различных) множе- ства V соответствует множество 1 2,i i  , 2 3,i i  , 3 4,i i  ,…., 2 1,k ki i   , 1,k ki i  (2) упорядоченных пар, составленных из соседних элементов последовательности (1). Правильной k-последовательностью назовем такую упоря- доченную последовательность (1), что все упо- рядоченные пары вида (2) различны. Задача нахождения теста T имеет следую- щую формальную постановку. Задача. Для заданного числа k требуется со- ставить из элементов множества L правильную k-последовательность P с максимальной сум- мой весов 1 1 1 , , 2 ( ) q q q q k i i i i q S S S       . (3) Если каждому элементу множества L поста- вить в соответствие вершину полного ориен- тированного графа G, то задача может быть переформулирована в графовой постановке: в полном ориентированном графе G, дуги кото- рого взвешены неотрицательными целыми чис- лами, требуется найти простую цепь M, состо- ящую из k дуг и имеющую максимальную сум- му S весов входящих в нее (т.е. в цепь) дуг. УСиМ, 2010, № 5 43 Данная задача и алгоритмы ее решения хоро- шо известны в теории графов [5]. Модификации этой задачи появляются то- гда, когда в качестве весов дуг могут быть как неотрицательные, так отрицательные числа. Другой особенностью может быть условие ,i jS = = ,j iS для весов дуг, пример такого графа дан на рис. 3. Граф G (см. рис. 3) получен при мо- делировании элемента, реализующего логиче- скую операцию «сумма по модулю 2». Одно из решений задачи для k = 3 выделено на графе G штриховыми дугами. Рис. 3. Граф G Алгоритм нахождения теста Поскольку в практических ситуациях число 2n вершин графа G может быть огромным, то о точном решении задачи не может быть и речи, поэтому предлагается эвристический алгоритм решения задачи нахождения теста T, ориенти- рованный на практическую размерность, когда n  15. Элементы булева пространства будем представлять числами – десятичными эквива- лентами, а алгоритм иллюстрировать на при- мере последовательности наборов, заданных в табл. 1. Пусть для данного примера k = 16, то- гда число искомых пар входных наборов равно 15. Алгоритм состоит из следующих шагов. Ш а г 1. Расположение без повторений всех пар множества L в линейном порядке [6], соот- ветствующую последовательность расположе- ния пар множества L обозначим через Z. В рассматриваемом примере логической схе- мы (см. рис. 1) исходным является булево про- странство от трех переменных, а десятичные представления двоичных наборов образуют мно- жество {0, 1, 2, 3, 4, 5, 6, 7}. Расположить без повторений все пары, т.е. получить упорядо- ченную последовательность Z комбинаций вход- ных сигналов можно согласно «правилу тре- угольника»: 7, 5, 7, 4, 7, 3, 7, 2, 7, 1, 7, 0, 7 6, 4, 6, 3, 6, 2, 6, 1, 6, 0, 6, 5, 3, 5, 2, 5, 1, 5, 0, 5, 4, 2, 4, 1, 4, 0, 4, 3, 1, 3, 0, 3, 2, 0, 2, 1 0, 1, 2, 3, 4, 5, 6, 7 Расположив строки «треугольника» в линей- ном порядке, получим последовательность Z, которая для рассматриваемого примера задана в столбце «Входные наборы» табл. 1. Аналогич- ным образом, т.е. без повторений пар, можно перечислить все пары элементов булева про- странства и большей, чем три, размерности. Ш а г 2. Нахождение пар с максимальным весом. Находим в Z последовательно одну за другой пары ,q qi j  с максимальным весом ,q qi jS . Если в последовательности Z очередная пара 1 1,q qi jS   располагается рядом с одной уже из най- денных пар, то ищется дополнительно еще од- на пара. В примере максимальный вес 62 имеет пара <7,2> = 1 1,i j  с номером 7. Затем находится пара 2 2,i j  =<7,0> с номером 11, имеющая тот же вес 62, и пары 3 3,i j = <7,4> ( номер 3), 4 4,i j  = <7,6> (номер 13), имеющие вес 58. Следующей будет пара 5 5,i j =<7,1> с номе- ром 9 и весом 56. Среди оставшихся пар мак- симальный вес 50 имеет пара  66 , ji =<4,7> с номером 4. Так как эта пара – соседняя ( 6j = 3i ) с уже найденной парой 3 3,i j = <7,4>, то для того, чтобы искомая последователь- ность содержала 15 пар, требуется найти еще одну пару и т.д. Последовательно находя пары с максималь- ным весом, построим цепочки 1c , 2c , 3c , 4c пар, содержащие 16 элементов: цепочке 1 соответ- ствует последовательность <7,4,7> из трех эле- 44 УСиМ, 2010, № 5 ментов; цепочке 2 – последовательность <7,2, 7,1,7,0,7,6> из восьми элементов; цепочке 3 – последовательность <5,2,5> из трех элементов; цепочке 4 – последовательность <3,4> из двух элементов. В данном примере только одна це- почка 4 выродилась до пары. Однако может случиться и так, что каждая из цепочек может состоять из одной пары. Ш а г 3. Соединение найденных цепочек в одну последовательность. Для этого строится граф H (рис. 4), отра- жающий варианты соединения (конкатенации) цепочек. Легко видеть, что при соединении цепочек может потребоваться «добирать» па- ры, так как последний элемент цепочки, к ко- торой будет добавляться следующая, может совпадать с первым элементом подсоединяе- мой цепочки, поэтому в последовательности Z потребуется искать еще пары с максимальным весом, которые нужно будет включить в иско- мую последовательность. 38 0 32 24 26 34 26 34 36 34 С1 С2 С3 Рис. 4. Граф H Вернемся к примеру. Построим граф H, ка- ждой из вершин которого соответствует най- денная цепочка, а ориентированная дуга <ci, cj> взвешена весом S пары, образованной послед- ним элементом цепочки ci и первым элементом цепочки cj. Например, дуга c2  c3, исходящая из вершины c2 и заходящая в вершину c3, име- ет вес 36, так как этой дуге графа H соответст- вует пара <6,5> с номером 24. Некоторые дуги имеют вес ноль, например, такой дугой явля- ется дуга c1  c2. Этой дуге соответствует пара <7,7> – последним элементом цепочки c1 есть элемент 7, а первым элементом цепочки c2 есть также элемент 7. Граф H не является полным, некоторые дуги могут отсутствовать, напри- мер, между вершинами c4, c2 дуга c4  c2 от- сутствует – этой дуге соответствует пара <4,7>, которая включена в цепочку c1. Для рассмат- риваемого примера и найденных цепочек c1, c2, c3, c4 граф H изображен на рис. 4. Задача нахождения последовательности со- единения цепочек на шаге 4 алгоритма сводит- ся к нахождению последовательности обхода всех вершин графа H, причем сумма весов про- ходимых дуг должна быть максимальна, т.е. на этапе 4 требуется решить задачу нахождения в ориентированном графе H гамильтонова цикла с максимальным суммарным весом дуг. Это так- же известная задача в теории графов [5]. Рассмотрим маршрут <c1, c2, c3, c4>, приво- дящий к следующей конкатенации <7,4,7>, <7,2,7,1,7,0,7,6>, <5,2,5>, <3,4> цепочек и сум- ме S весов S = 58+50+0+62+42+56+48+62+ +54+58+36+40+40+32+40 = 678. Последний элемент 7 цепочки <7,4,7> сов- падает с первым элементом 7 цепочки <7,2,7, 1,7,0,7,6>, поэтому в последовательности <7,4, 7,2,7,1,7,0,7,6,5,2,5,3,4> имеется только 15 эле- ментов. Следовательно, требуется добавить од- ну пару, например, ту, которая имеет макси- мальный вес и первый элемент которой равен четырем. Такой есть пара <4,5> (номер 54) с весом 24. Для последовательности <7,4,7,2,7,1, 7,0,7,6,5,2,5,3,4,5> вес S = 58+50+62+42+56+48+62+54+ +58+36+40+40+32+40+24=702. Рассмотрим маршрут <c1, c2, c3, c4> обхода всех вершин: этому маршруту соответствует конкатенация цепочек <7,2,7,1,7,0,7,6>, <5,2,5>, <7,4,7>, <3,4>, и последовательность <7,2,7,1, 7,0,7,6,5,2,5,7,4,7,3,4>, а этой последовательно- сти соответствует сумма S = 62+42+56+48+62+54+58+36+ +40+40+34+58+50+34+40=714 весов, вычисляемая по формуле (3). Для дан- ного маршрута добавлять пары не понадоби- лось, так как дуга с нулевым весом в графе H не была пройдена. Последний маршрут имеет больший вес и яв- ляется лучшим. На шаге 4 после соединения це- почек, если это необходимо, последовательно до- УСиМ, 2010, № 5 45 бавляются пары согласно «жадной» эвристики – каждая из добавляемых пар должна обеспечить максимальный вклад в суммарный вес. Результаты схемотехнического моделиро- вания Чтобы проверить результаты логического мо- делирования и предложенный алгоритм форми- рования теста, было составлено схемотехниче- ское (Spice-описание) логической схемы (см. рис. 1) и проведено моделирование на той же последовательности из 57 наборов входных сиг- налов, для которой проводилось логическое VHDL-моделирование. Результат схемотехни- ческого моделирования схемы circ в системе Accusim (разработка фирмы Mentor Graphics) на той же последовательности 57 входных на- боров представлен на рис. 5, среднее значение потребляемого тока равно –0,092902 ма (мик- роампер). Пары наборов, вошедшие в цепочки c1, c2, c3, c4, помечены на рис. 5 символом «*». Рис. 5. Результат аналогового моделирования на наборах по- следовательности Z Если же провести схемотехническое модели- рование на 16 наборах найденного теста T (рис. 6), то среднее значение потребляемого тока равно –0,150054 ма, т.е. значительно выше. Та- ким образом, тест T, найденный на основе предложенного алгоритма, позволяет с доста- точной для практики точностью находить ре- жим максимального энергопотребления, что подтверждается результатами схемотехниче- ского моделирования. Если внимательно проанализировать рис. 5, то можно заметить, что в некоторых тактах сра- батывания схемы график функции потребляе- мого тока заходит в область положительных зна- чений, при формализации задачи это будет вы- ражено отрицательными значениями весов Si,l, если в качестве таковых взять не числа пере- ключений транзисторов, а значения тока, полу- ченные при схемотехническом моделировании. Рис. 6. Результат аналогового моделирования на наборах теста T Заключение. Предложенный алгоритм на ос- нове подсчета числа переключений транзисто- ров позволяет быстро находить режим макси- мального энергопотребления комбинационной логической схемы, состоящей из КМОП-эле- ментов. Данный способ пригоден для задач практической размерности и может быть ис- пользован для оценки вариантов схемной реа- лизации структур СБИС на этапе синтеза про- екта СБИС. 1. Estimation of Average Switching Activity in Combi- national and Sequential Circuits / A. Ghosh, S. Deva- das, K. Keutzer et al. // Proc. 29th ACM/IEEE Design Automation Conf. – 1992. – P. 253–259. 2. Рабаи Ж.М., Чандракасан А., Николич Б. Цифро- вые интегральные схемы. – М.: ООО «И.Д. Виль- ямс», 2007. – 912 с. 3. Суворова Е.А., Шейнин Ю.Е. Проектирование циф- ровых систем на VHDL. – СПб.: БХВ–Петербург, 2003. – 576 с. 4. Сергиенко А.М. VHDL для проектирования вычис- лительных устройств. – К.: ЧП «Корнейчук», ООО «ТИД “ДС”», 2003. – 208 с. 5. Харари Ф. Теория графов. – М.: Мир, 1973. – 300 с. 6. Закревский А.Д. Минимизация перебора ориентиро- ванных пар. Танаевские чтения // Докл. Четвертой Междунар. науч. конф. (29 марта 2010 года, Минск). – Минск: ОИПИ НАН Беларуси, 2010. – С. 89–97. Поступила 12.04.2010 E-mail: bibilo@newman.bas-net.by © П.Н. Бибило, 2010  << /ASCII85EncodePages false /AllowTransparency false /AutoPositionEPSFiles true /AutoRotatePages /None /Binding /Left /CalGrayProfile (Dot Gain 20%) /CalRGBProfile (sRGB IEC61966-2.1) /CalCMYKProfile (U.S. Web Coated \050SWOP\051 v2) /sRGBProfile (sRGB IEC61966-2.1) /CannotEmbedFontPolicy /Error /CompatibilityLevel 1.4 /CompressObjects /Tags /CompressPages true /ConvertImagesToIndexed true /PassThroughJPEGImages true /CreateJobTicket false /DefaultRenderingIntent /Default /DetectBlends true /DetectCurves 0.0000 /ColorConversionStrategy /CMYK /DoThumbnails false /EmbedAllFonts true /EmbedOpenType false /ParseICCProfilesInComments true /EmbedJobOptions true /DSCReportingLevel 0 /EmitDSCWarnings false /EndPage -1 /ImageMemory 1048576 /LockDistillerParams false /MaxSubsetPct 100 /Optimize true /OPM 1 /ParseDSCComments true /ParseDSCCommentsForDocInfo true /PreserveCopyPage true /PreserveDICMYKValues true /PreserveEPSInfo true /PreserveFlatness true /PreserveHalftoneInfo false /PreserveOPIComments true /PreserveOverprintSettings true /StartPage 1 /SubsetFonts true /TransferFunctionInfo /Apply /UCRandBGInfo /Preserve /UsePrologue false /ColorSettingsFile () /AlwaysEmbed [ true ] /NeverEmbed [ true ] /AntiAliasColorImages false /CropColorImages true /ColorImageMinResolution 300 /ColorImageMinResolutionPolicy /OK /DownsampleColorImages true /ColorImageDownsampleType /Bicubic /ColorImageResolution 300 /ColorImageDepth -1 /ColorImageMinDownsampleDepth 1 /ColorImageDownsampleThreshold 1.50000 /EncodeColorImages true /ColorImageFilter /DCTEncode /AutoFilterColorImages true /ColorImageAutoFilterStrategy /JPEG /ColorACSImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /ColorImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /JPEG2000ColorACSImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /JPEG2000ColorImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /AntiAliasGrayImages false /CropGrayImages true /GrayImageMinResolution 300 /GrayImageMinResolutionPolicy /OK /DownsampleGrayImages true /GrayImageDownsampleType /Bicubic /GrayImageResolution 300 /GrayImageDepth -1 /GrayImageMinDownsampleDepth 2 /GrayImageDownsampleThreshold 1.50000 /EncodeGrayImages true /GrayImageFilter /DCTEncode /AutoFilterGrayImages true /GrayImageAutoFilterStrategy /JPEG /GrayACSImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /GrayImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /JPEG2000GrayACSImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /JPEG2000GrayImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /AntiAliasMonoImages false /CropMonoImages true /MonoImageMinResolution 1200 /MonoImageMinResolutionPolicy /OK /DownsampleMonoImages true /MonoImageDownsampleType /Bicubic /MonoImageResolution 1200 /MonoImageDepth -1 /MonoImageDownsampleThreshold 1.50000 /EncodeMonoImages true /MonoImageFilter /CCITTFaxEncode /MonoImageDict << /K -1 >> /AllowPSXObjects false /CheckCompliance [ /None ] /PDFX1aCheck false /PDFX3Check false /PDFXCompliantPDFOnly false /PDFXNoTrimBoxError true /PDFXTrimBoxToMediaBoxOffset [ 0.00000 0.00000 0.00000 0.00000 ] /PDFXSetBleedBoxToMediaBox true /PDFXBleedBoxToTrimBoxOffset [ 0.00000 0.00000 0.00000 0.00000 ] /PDFXOutputIntentProfile () /PDFXOutputConditionIdentifier () /PDFXOutputCondition () /PDFXRegistryName () /PDFXTrapped /False /CreateJDFFile false /Description << /ARA <FEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A064806270641064206290020064406440637062806270639062900200641064A00200627064406450637062706280639002006300627062A0020062F0631062C0627062A002006270644062C0648062F0629002006270644063906270644064A0629061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E> /BGR <FEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d04420438002c0020043c0430043a04410438043c0430043b043d043e0020043f044004380433043e04340435043d04380020043704300020043204380441043e043a043e043a0430044704350441044204320435043d0020043f04350447043004420020043704300020043f044004350434043f0435044704300442043d04300020043f043e04340433043e0442043e0432043a0430002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002e> /CHS <FEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000410064006f006200650020005000440046002065876863900275284e8e9ad88d2891cf76845370524d53705237300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002> /CHT <FEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef69069752865bc9ad854c18cea76845370524d5370523786557406300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002> /CZE <FEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002c0020006b00740065007200e90020007300650020006e0065006a006c00e90070006500200068006f006400ed002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b00200061002000700072006500700072006500730073002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002e> /DAN <FEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002c0020006400650072002000620065006400730074002000650067006e006500720020007300690067002000740069006c002000700072006500700072006500730073002d007500640073006b007200690076006e0069006e00670020006100660020006800f8006a0020006b00760061006c0069007400650074002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002e> /DEU <FEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f006300680077006500720074006900670065002000500072006500700072006500730073002d0044007200750063006b0065002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002e> /ESP <FEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f00730020005000440046002000640065002000410064006f0062006500200061006400650063007500610064006f00730020007000610072006100200069006d0070007200650073006900f3006e0020007000720065002d0065006400690074006f007200690061006c00200064006500200061006c00740061002000630061006c0069006400610064002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002e> /ETI <FEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006b00760061006c006900740065006500740073006500200074007200fc006b006900650065006c007300650020007000720069006e00740069006d0069007300650020006a0061006f006b007300200073006f00620069006c0069006b0065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000a> /FRA <FEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f0075007200200075006e00650020007100750061006c0069007400e90020006400270069006d007000720065007300730069006f006e00200070007200e9007000720065007300730065002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002e> /GRE <FEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003c003bf03c5002003b503af03bd03b103b9002003ba03b103c42019002003b503be03bf03c703ae03bd002003ba03b103c403ac03bb03bb03b703bb03b1002003b303b903b1002003c003c103bf002d03b503ba03c403c503c003c903c403b903ba03ad03c2002003b503c103b303b103c303af03b503c2002003c503c803b703bb03ae03c2002003c003bf03b903cc03c403b703c403b103c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f00620065002000520065006100640065007200200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002e> /HEB <FEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005D405DE05D505EA05D005DE05D905DD002005DC05D405D305E405E105EA002005E705D305DD002D05D305E405D505E1002005D005D905DB05D505EA05D905EA002E002005DE05E105DE05DB05D90020005000440046002005E905E005D505E605E805D5002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002E05D005DE05D905DD002005DC002D005000440046002F0058002D0033002C002005E205D905D905E005D5002005D105DE05D305E805D905DA002005DC05DE05E905EA05DE05E9002005E905DC0020004100630072006F006200610074002E002005DE05E105DE05DB05D90020005000440046002005E905E005D505E605E805D5002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002E> /HRV (Za stvaranje Adobe PDF dokumenata najpogodnijih za visokokvalitetni ispis prije tiskanja koristite ove postavke. Stvoreni PDF dokumenti mogu se otvoriti Acrobat i Adobe Reader 5.0 i kasnijim verzijama.) /HUN <FEFF004b0069007600e1006c00f30020006d0069006e0151007300e9006701710020006e0079006f006d00640061006900200065006c0151006b00e90073007a00ed007401510020006e0079006f006d00740061007400e100730068006f007a0020006c006500670069006e006b00e1006200620020006d0065006700660065006c0065006c0151002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b0061007400200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c0020006b00e90073007a00ed0074006800650074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002000e9007300200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002c0020007600610067007900200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c0020006e00790069007400680061007400f3006b0020006d00650067002e> /ITA <FEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f00620065002000500044004600200070006900f900200061006400610074007400690020006100200075006e00610020007000720065007300740061006d0070006100200064006900200061006c007400610020007100750061006c0069007400e0002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002e> /JPN <FEFF9ad854c18cea306a30d730ea30d730ec30b951fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e305930023053306e8a2d5b9a306b306f30d530a930f330c8306e57cb30818fbc307f304c5fc59808306730593002> /KOR <FEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020ace0d488c9c80020c2dcd5d80020c778c1c4c5d00020ac00c7a50020c801d569d55c002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002e> /LTH <FEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b00750072006900650020006c0061006200690061007500730069006100690020007000720069007400610069006b007900740069002000610075006b01610074006f00730020006b006f006b007900620117007300200070006100720065006e006700740069006e00690061006d00200073007000610075007300640069006e0069006d00750069002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002e> /LVI <FEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006100730020006900720020012b00700061016100690020007000690065006d01130072006f00740069002000610075006700730074006100730020006b00760061006c0069007401010074006500730020007000690072006d007300690065007300700069006501610061006e006100730020006400720075006b00610069002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002e> /NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken die zijn geoptimaliseerd voor prepress-afdrukken van hoge kwaliteit. De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 5.0 en hoger.) /NOR <FEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200073006f006d00200065007200200062006500730074002000650067006e0065007400200066006f00720020006600f80072007400720079006b006b0073007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c0069007400650074002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002e> /POL <FEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f300770020005000440046002000700072007a0065007a006e00610063007a006f006e00790063006800200064006f002000770079006400720075006b00f30077002000770020007700790073006f006b00690065006a0020006a0061006b006f015b00630069002e002000200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002e> /PTB <FEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020006d00610069007300200061006400650071007500610064006f00730020007000610072006100200070007200e9002d0069006d0070007200650073007300f50065007300200064006500200061006c007400610020007100750061006c00690064006100640065002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002e> /RUM <FEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000610064006500630076006100740065002000700065006e0074007200750020007400690070010300720069007200650061002000700072006500700072006500730073002000640065002000630061006c006900740061007400650020007300750070006500720069006f006100720103002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002e> /RUS <FEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043c0430043a04410438043c0430043b044c043d043e0020043f043e04340445043e0434044f04490438044500200434043b044f00200432044b0441043e043a043e043a0430044704350441044204320435043d043d043e0433043e00200434043e043f0435044704300442043d043e0433043e00200432044b0432043e04340430002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e0020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002e> /SKY <FEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f006200650020005000440046002c0020006b0074006f007200e90020007300610020006e0061006a006c0065007001610069006500200068006f0064006900610020006e00610020006b00760061006c00690074006e00fa00200074006c0061010d00200061002000700072006500700072006500730073002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e> /SLV <FEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f006200650020005000440046002c0020006b006900200073006f0020006e0061006a007000720069006d00650072006e0065006a016100690020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020007300200070007200690070007200610076006f0020006e00610020007400690073006b002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002e> /SUO <FEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f00740020006c00e400680069006e006e00e4002000760061006100740069007600610061006e0020007000610069006e006100740075006b00730065006e002000760061006c006d0069007300740065006c00750074007900f6006800f6006e00200073006f00700069007600690061002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a0061002e0020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002e> /SVE <FEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400200073006f006d002000e400720020006c00e4006d0070006c0069006700610020006600f60072002000700072006500700072006500730073002d007500740073006b00720069006600740020006d006500640020006800f600670020006b00760061006c0069007400650074002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002e> /TUR <FEFF005900fc006b00730065006b0020006b0061006c006900740065006c0069002000f6006e002000790061007a006401310072006d00610020006200610073006b013100730131006e006100200065006e0020006900790069002000750079006100620069006c006500630065006b002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002e> /UKR <FEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043f0435044004350434043404400443043a043e0432043e0433043e0020043404400443043a0443002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002e> /ENU (Use these settings to create Adobe PDF documents best suited for high-quality prepress printing. Created PDF documents can be opened with Acrobat and Adobe Reader 5.0 and later.) >> /Namespace [ (Adobe) (Common) (1.0) ] /OtherNamespaces [ << /AsReaderSpreads false /CropImagesToFrames true /ErrorControl /WarnAndContinue /FlattenerIgnoreSpreadOverrides false /IncludeGuidesGrids false /IncludeNonPrinting false /IncludeSlug false /Namespace [ (Adobe) (InDesign) (4.0) ] /OmitPlacedBitmaps false /OmitPlacedEPS false /OmitPlacedPDF false /SimulateOverprint /Legacy >> << /AddBleedMarks false /AddColorBars false /AddCropMarks false /AddPageInfo false /AddRegMarks false /ConvertColors /ConvertToCMYK /DestinationProfileName () /DestinationProfileSelector /DocumentCMYK /Downsample16BitImages true /FlattenerPreset << /PresetSelector /MediumResolution >> /FormElements false /GenerateStructure false /IncludeBookmarks false /IncludeHyperlinks false /IncludeInteractive false /IncludeLayers false /IncludeProfiles false /MultimediaHandling /UseObjectSettings /Namespace [ (Adobe) (CreativeSuite) (2.0) ] /PDFXOutputIntentProfileSelector /DocumentCMYK /PreserveEditing true /UntaggedCMYKHandling /LeaveUntagged /UntaggedRGBHandling /UseDocumentProfile /UseDocumentBleed false >> ] >> setdistillerparams << /HWResolution [2400 2400] /PageSize [612.000 792.000] >> setpagedevice